PERANCANGAN ATURAN TRANSFORMASI UML – SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM

  • Maman Abdurohman
  • Kuspriyanto .
  • Sarwono Sutikno
  • Arif Sasongko
Keywords: C , rational rose, systemC, UML

Abstract

Pemodelan adalah salah satu proses awal dalam pengembangan suatu aplikasi atau produk. Tahap ini dilakukan untuk meminimalkan kesalahan pada produk akhir. Salah satu metode pemodelan berorientasi objek yang banyak digunakan adalah pemodelan UML (Unified Modeling Language). Dalam UML suatu sistem dipandang sebagai kumpulan objek yang memiliki atribut dan method. SystemC adalah bahasa perancangan perangkat keras yang berbasis C++. SystemC merupakan sebuah library yang mendefinisikan tipe-tipe komponen perangkat keras. Dalam pemodelan bersama perangkat keras dan perangkat lunak, UML dan SystemC memiliki kemampuan yang sama. Pada paper ini dilakukan analisis proses transformasi dari pemodelan berorientasi objek dengan UML dan implementasi dengan menggunakan SystemC. Hasil penelitian menunjukan bahwa proses transformasi UML-SystemC dapat dilakukan karena keduanya memiliki nature yang sama sebagai lingkungan yang dapat merancang bersama hardware dan software. Perangkat yang digunakan untuk penelitian ini adalah Rational Rose dan SystemC. Modeling is one of the first process in the development of an application or product. This phase is done to minimize errors in the final product. One method in object-oriented modeling that is widely used is UML (Unified Modeling Language). In UML a system is seen as a collection of objects that have attributes and methods. SystemC is a hardware design language based on C++. SystemC is a library that defines the types of hardware components. In a joint modeling of hardware and software, UML and SystemC have similar capabilities. In this paper, researchers analyzed the transformation of object-oriented modeling with UML and the implementation by using SystemC. The results shows that the transformation process of UML-SystemC can be done because both have the same nature as the environment that can design both hardware and software. The device used for this study is the Rational Rose and SystemC.
Published
2012-05-29
How to Cite
Abdurohman, M., ., K., Sutikno, S., & Sasongko, A. (2012). PERANCANGAN ATURAN TRANSFORMASI UML – SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM. Jurnal Ilmu Komputer Dan Informasi, 3(2), 91-97. https://doi.org/10.21609/jiki.v3i2.146